v i e w 1 2 1 waitfor delay 0 0 12 8 2 5 2 1 6